From 9e7a882f748f4579c159b909eeb77b6b62b266d5 Mon Sep 17 00:00:00 2001 From: "renovate[bot]" <29139614+renovate[bot]@users.noreply.github.com> Date: Wed, 12 Jun 2024 22:59:37 +0000 Subject: [PATCH] Bump dependencies --- .github/workflows/ci.yaml | 2 +- Cli/Cli.csproj | 2 +- Cli/packages.lock.json | 12 ++++++------ Library/Library.csproj | 2 +- Library/packages.lock.json | 6 +++--- Tests/packages.lock.json | 12 ++++++------ 6 files changed, 18 insertions(+), 18 deletions(-) diff --git a/.github/workflows/ci.yaml b/.github/workflows/ci.yaml index 3557d7b..97c6e88 100644 --- a/.github/workflows/ci.yaml +++ b/.github/workflows/ci.yaml @@ -19,7 +19,7 @@ jobs: container: dockfool/cake-docker:latest steps: - name: Checkout source - uses: actions/checkout@v4.1.6 + uses: actions/checkout@v4.1.7 with: fetch-depth: 0 filter: tree:0 diff --git a/Cli/Cli.csproj b/Cli/Cli.csproj index 3eadc5e..ae70c0d 100644 --- a/Cli/Cli.csproj +++ b/Cli/Cli.csproj @@ -37,7 +37,7 @@ - + diff --git a/Cli/packages.lock.json b/Cli/packages.lock.json index 82ed598..ef51990 100644 --- a/Cli/packages.lock.json +++ b/Cli/packages.lock.json @@ -119,9 +119,9 @@ }, "Serilog.Settings.Configuration": { "type": "Direct", - "requested": "[8.0.0, )", - "resolved": "8.0.0", - "contentHash": "nR0iL5HwKj5v6ULo3/zpP8NMcq9E2pxYA6XKTSWCbugVs4YqPyvaqaKOY+OMpPivKp7zMEpax2UKHnDodbRB0Q==", + "requested": "[8.0.1, )", + "resolved": "8.0.1", + "contentHash": "KOEABqMUdEc8Lpq51Nmiv69Je53mKE6yle4ac2jt3QYiBI0FKKFZCVV6MnkD/z3FonNMjUs/vLOa1mrhzexgCA==", "dependencies": { "Microsoft.Extensions.Configuration.Binder": "8.0.0", "Microsoft.Extensions.DependencyModel": "8.0.0", @@ -163,8 +163,8 @@ }, "FluentValidation": { "type": "Transitive", - "resolved": "11.9.1", - "contentHash": "C+PqISSMdlOZZJx0Hx25atW32tv4vbpsaiQB+PLjK+ZGLzOFuHl1fUJ3Lny77mIZ31ZtYtNG0JgUjxa3wwLsWg==" + "resolved": "11.9.2", + "contentHash": "XeHp9LvFvu1fsQ/NvDCymV02GOCB1nz7ZUhfpI3uMhCcHTkV1K5bMkv+Nc/kuNYyAsX5+5bcmUanIEMd5QN+Eg==" }, "Microsoft.Bcl.AsyncInterfaces": { "type": "Transitive", @@ -505,7 +505,7 @@ "library": { "type": "Project", "dependencies": { - "FluentValidation": "[11.9.1, )", + "FluentValidation": "[11.9.2, )", "YamlDotNet": "[15.1.6, )" } } diff --git a/Library/Library.csproj b/Library/Library.csproj index b456305..b79cb9a 100644 --- a/Library/Library.csproj +++ b/Library/Library.csproj @@ -4,7 +4,7 @@ - + diff --git a/Library/packages.lock.json b/Library/packages.lock.json index 8faa141..73bdec5 100644 --- a/Library/packages.lock.json +++ b/Library/packages.lock.json @@ -4,9 +4,9 @@ "net8.0": { "FluentValidation": { "type": "Direct", - "requested": "[11.9.1, )", - "resolved": "11.9.1", - "contentHash": "C+PqISSMdlOZZJx0Hx25atW32tv4vbpsaiQB+PLjK+ZGLzOFuHl1fUJ3Lny77mIZ31ZtYtNG0JgUjxa3wwLsWg==" + "requested": "[11.9.2, )", + "resolved": "11.9.2", + "contentHash": "XeHp9LvFvu1fsQ/NvDCymV02GOCB1nz7ZUhfpI3uMhCcHTkV1K5bMkv+Nc/kuNYyAsX5+5bcmUanIEMd5QN+Eg==" }, "YamlDotNet": { "type": "Direct", diff --git a/Tests/packages.lock.json b/Tests/packages.lock.json index 0aa056e..fc4d31c 100644 --- a/Tests/packages.lock.json +++ b/Tests/packages.lock.json @@ -81,8 +81,8 @@ }, "FluentValidation": { "type": "Transitive", - "resolved": "11.9.1", - "contentHash": "C+PqISSMdlOZZJx0Hx25atW32tv4vbpsaiQB+PLjK+ZGLzOFuHl1fUJ3Lny77mIZ31ZtYtNG0JgUjxa3wwLsWg==" + "resolved": "11.9.2", + "contentHash": "XeHp9LvFvu1fsQ/NvDCymV02GOCB1nz7ZUhfpI3uMhCcHTkV1K5bMkv+Nc/kuNYyAsX5+5bcmUanIEMd5QN+Eg==" }, "Flurl": { "type": "Transitive", @@ -498,8 +498,8 @@ }, "Serilog.Settings.Configuration": { "type": "Transitive", - "resolved": "8.0.0", - "contentHash": "nR0iL5HwKj5v6ULo3/zpP8NMcq9E2pxYA6XKTSWCbugVs4YqPyvaqaKOY+OMpPivKp7zMEpax2UKHnDodbRB0Q==", + "resolved": "8.0.1", + "contentHash": "KOEABqMUdEc8Lpq51Nmiv69Je53mKE6yle4ac2jt3QYiBI0FKKFZCVV6MnkD/z3FonNMjUs/vLOa1mrhzexgCA==", "dependencies": { "Microsoft.Extensions.Configuration.Binder": "8.0.0", "Microsoft.Extensions.DependencyModel": "8.0.0", @@ -658,7 +658,7 @@ "Serilog": "[3.1.1, )", "Serilog.Enrichers.Thread": "[3.1.0, )", "Serilog.Extensions.Hosting": "[8.0.0, )", - "Serilog.Settings.Configuration": "[8.0.0, )", + "Serilog.Settings.Configuration": "[8.0.1, )", "Serilog.Sinks.Console": "[5.0.1, )", "Spectre.Console.Cli": "[0.49.1, )", "System.Interactive": "[6.0.1, )", @@ -668,7 +668,7 @@ "library": { "type": "Project", "dependencies": { - "FluentValidation": "[11.9.1, )", + "FluentValidation": "[11.9.2, )", "YamlDotNet": "[15.1.6, )" } }