{"payload":{"pageCount":2,"repositories":[{"type":"Public","name":"bfasst","owner":"byuccl","isFork":false,"description":"Tools for FPGA Assurance Flows","allTopics":[],"primaryLanguage":{"name":"VHDL","color":"#adb2cb"},"pullRequestCount":2,"issueCount":12,"starsCount":12,"forksCount":4,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-07T01:06:10.678Z"}},{"type":"Public","name":"f4pga-xc-fasm2bels","owner":"byuccl","isFork":true,"description":"Library to convert a FASM file into BELs importable into Vivado.","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":12,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-07T01:01:04.499Z"}},{"type":"Public","name":"prjxray-db","owner":"byuccl","isFork":true,"description":"Project X-Ray Database: XC7 Series","allTopics":[],"primaryLanguage":{"name":"Makefile","color":"#427819"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":31,"license":"Creative Commons Zero v1.0 Universal","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-07T00:49:03.185Z"}},{"type":"Public","name":"vtr-verilog-to-routing","owner":"byuccl","isFork":true,"description":"Verilog to Routing -- Open Source CAD Flow for FPGA Research","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":385,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-05T15:30:54.768Z"}},{"type":"Public","name":"byuccl.github.io","owner":"byuccl","isFork":false,"description":"BYU CCL website","allTopics":[],"primaryLanguage":{"name":"HTML","color":"#e34c26"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":53,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-01T00:05:31.679Z"}},{"type":"Public","name":"litex","owner":"byuccl","isFork":true,"description":"Build your hardware, easily!","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":553,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-28T15:49:47.942Z"}},{"type":"Public","name":"prjxray","owner":"byuccl","isFork":true,"description":"Documenting the Xilinx 7-series bit-stream format.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":148,"license":"ISC License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-07-19T18:14:16.690Z"}},{"type":"Public","name":"polarfire-soc-bare-metal-examples","owner":"byuccl","isFork":true,"description":"Bare metal example software projects for PolarFire SoC","allTopics":[],"primaryLanguage":{"name":"C","color":"#555555"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":14,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-25T21:22:28.953Z"}},{"type":"Public","name":"yinstruments","owner":"byuccl","isFork":false,"description":"Python code to interact with lab instruments (power analyzer/oscilliscope)","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":1,"starsCount":0,"forksCount":2,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-20T17:31:26.734Z"}},{"type":"Public","name":"short_circuit_aging","owner":"byuccl","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Java","color":"#b07219"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-19T06:23:33.073Z"}},{"type":"Public","name":"digital_design_colab","owner":"byuccl","isFork":false,"description":"Digital Design Colab Examples","allTopics":[],"primaryLanguage":{"name":"Jupyter Notebook","color":"#DA5B0B"},"pullRequestCount":0,"issueCount":31,"starsCount":5,"forksCount":2,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-04-16T04:33:49.663Z"}},{"type":"Public","name":"spydrnet","owner":"byuccl","isFork":false,"description":"A flexible framework for analyzing and transforming FPGA netlists. Official repository.","allTopics":["fpga","digital","hardware","transformations","eda","circuits","cad","circuit","hardware-designs","transformation","circuit-analysis","netlist","computer-aided-design","fpgas","netlist-parser","circuit-design","electronic-design-automation","netlists","edif"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":1,"issueCount":43,"starsCount":88,"forksCount":21,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-03-04T21:00:36.788Z"}},{"type":"Public","name":"rowhammer-tester","owner":"byuccl","isFork":true,"description":"","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":16,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-02-12T19:29:53.376Z"}},{"type":"Public","name":"litedram","owner":"byuccl","isFork":true,"description":"Small footprint and configurable DRAM core","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":119,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-29T21:13:11.554Z"}},{"type":"Public","name":"fpga-programmer","owner":"byuccl","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-19T23:03:55.393Z"}},{"type":"Public","name":"WaFoVe","owner":"byuccl","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-01-18T20:47:02.762Z"}},{"type":"Public","name":"spydrnet-tmr","owner":"byuccl","isFork":false,"description":"TMR utilities for the SpyDrNet project","allTopics":["fpga","digital","transformations","verilog","hardware-designs","transformation","netlist","computer-aided-design","fpgas","electronic-design-automation","tmr","triple-modular-redundancy","blif","netlists","edif","spydrnet","eblif"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":14,"starsCount":4,"forksCount":2,"license":"BSD 3-Clause \"New\" or \"Revised\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-11-07T22:13:15.982Z"}},{"type":"Public","name":"iprec","owner":"byuccl","isFork":false,"description":"Xilinx CoreGen module recognition project. Lead author Corey Simpson.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":2,"issueCount":2,"starsCount":2,"forksCount":0,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-09-08T18:28:13.330Z"}},{"type":"Public","name":"bfat","owner":"byuccl","isFork":false,"description":"Bitstream Fault Analysis Tool","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":8,"starsCount":12,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-07-17T15:11:54.080Z"}},{"type":"Public","name":"mpsoc-rad-selftest","owner":"byuccl","isFork":false,"description":"","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-03-17T19:23:08.821Z"}},{"type":"Public","name":"edalize","owner":"byuccl","isFork":true,"description":"An abstraction library for interfacing EDA tools","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":183,"license":"BSD 2-Clause \"Simplified\" License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-02-02T23:54:48.818Z"}},{"type":"Public archive","name":"digital_design_colab_archive","owner":"byuccl","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Jupyter Notebook","color":"#DA5B0B"},"pullRequestCount":1,"issueCount":0,"starsCount":1,"forksCount":2,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-01-27T19:55:51.035Z"}},{"type":"Public","name":"physical_netlist","owner":"byuccl","isFork":false,"description":"","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2023-01-18T20:49:42.858Z"}},{"type":"Public","name":"vcd_diff","owner":"byuccl","isFork":false,"description":"","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-10-11T22:20:23.533Z"}},{"type":"Public template","name":"f4pga-classroom","owner":"byuccl","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":3,"forksCount":3,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-10-10T22:27:28.029Z"}},{"type":"Public","name":"nb_js_diagrammers","owner":"byuccl","isFork":true,"description":"Magics and JS for Rendering Diagrams in Jupyter Notebooks","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":4,"license":"MIT License","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-08-30T22:34:38.878Z"}},{"type":"Public","name":"dac_sdc_2022","owner":"byuccl","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"SCSS","color":"#c6538c"},"pullRequestCount":0,"issueCount":1,"starsCount":2,"forksCount":2,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-07-19T15:59:48.674Z"}},{"type":"Public","name":"bitrec","owner":"byuccl","isFork":false,"description":"Python/Tcl tools for documenting Xilinx bitstreams. Lead author Corey Simpson.","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":9,"starsCount":2,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-06-29T19:37:09.455Z"}},{"type":"Public","name":"f4pga","owner":"byuccl","isFork":true,"description":"Documentation for F4PGA","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":46,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-05-24T19:51:51.809Z"}},{"type":"Public","name":"rr_graph_folding","owner":"byuccl","isFork":true,"description":"Repository for folding VTR's Routing Resource Graph","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2022-03-24T18:10:38.427Z"}}],"repositoryCount":52,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"byuccl repositories"}