Skip to content

Commit

Permalink
Bumped dependencies.
Browse files Browse the repository at this point in the history
  • Loading branch information
Paebbels committed Jul 5, 2023
1 parent 28ec403 commit 42ce6d0
Show file tree
Hide file tree
Showing 9 changed files with 25 additions and 25 deletions.
10 changes: 5 additions & 5 deletions doc/Dependency.rst
Original file line number Diff line number Diff line change
Expand Up @@ -78,15 +78,15 @@ the mandatory dependencies too.
+--------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| **Package** | **Version** | **License** | **Dependencies** |
+====================================================================+=============+========================================================================================+======================+
| `pytest <https://github.com/pytest-dev/pytest>`__ | ≥7.2.0 | `MIT <https://github.com/pytest-dev/pytest/blob/master/LICENSE>`__ | *Not yet evaluated.* |
| `pytest <https://github.com/pytest-dev/pytest>`__ | ≥7.3.0 | `MIT <https://github.com/pytest-dev/pytest/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+--------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `pytest-cov <https://github.com/pytest-dev/pytest-cov>`__ | ≥4.0.0 | `MIT <https://github.com/pytest-dev/pytest-cov/blob/master/LICENSE>`__ | *Not yet evaluated.* |
| `pytest-cov <https://github.com/pytest-dev/pytest-cov>`__ | ≥4.1.0 | `MIT <https://github.com/pytest-dev/pytest-cov/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+--------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `pytest-benchmark <https://github.com/ionelmc/pytest-benchmark>`__ | ≥4.0.0 | `BSD 2-Clause <https://github.com/ionelmc/pytest-benchmark/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+--------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `Coverage <https://github.com/nedbat/coveragepy>`__ | ≥7.2 | `Apache License, 2.0 <https://github.com/nedbat/coveragepy/blob/master/LICENSE.txt>`__ | *Not yet evaluated.* |
+--------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `mypy <https://github.com/python/mypy>`__ | ≥1.1.1 | `MIT <https://github.com/python/mypy/blob/master/LICENSE>`__ | *Not yet evaluated.* |
| `mypy <https://github.com/python/mypy>`__ | ≥1.2.0 | `MIT <https://github.com/python/mypy/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+--------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
| `lxml <https://github.com/lxml/lxml>`__ | ≥4.9 | `BSD 3-Clause <https://github.com/lxml/lxml/blob/master/LICENSE.txt>`__ | *Not yet evaluated.* |
+--------------------------------------------------------------------+-------------+----------------------------------------------------------------------------------------+----------------------+
Expand Down Expand Up @@ -116,11 +116,11 @@ the mandatory dependencies too.
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| **Package** | **Version** | **License** | **Dependencies** |
+=================================================================================================+==============+==========================================================================================================+======================================================================================================================================================+
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ |2.11.0 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.md>`__ | *None* |
| `pyTooling <https://github.com/pyTooling/pyTooling>`__ |5.0.0 | `Apache License, 2.0 <https://github.com/pyTooling/pyTooling/blob/main/LICENSE.md>`__ | *None* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| `Sphinx <https://github.com/sphinx-doc/sphinx>`__ | ≥5.3.0 | `BSD 3-Clause <https://github.com/sphinx-doc/sphinx/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| `sphinxcontrib-mermaid <https://github.com/mgaitan/sphinxcontrib-mermaid>`__ | ≥0.8.1 | `BSD <https://github.com/mgaitan/sphinxcontrib-mermaid/blob/master/LICENSE.rst>`__ | *Not yet evaluated.* |
| `sphinxcontrib-mermaid <https://github.com/mgaitan/sphinxcontrib-mermaid>`__ | ≥0.9.2 | `BSD <https://github.com/mgaitan/sphinxcontrib-mermaid/blob/master/LICENSE.rst>`__ | *Not yet evaluated.* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
| `autoapi <https://github.com/carlos-jenkins/autoapi>`__ | ≥2.0.1 | `Apache License, 2.0 <https://github.com/carlos-jenkins/autoapi/blob/master/LICENSE>`__ | *Not yet evaluated.* |
+-------------------------------------------------------------------------------------------------+--------------+----------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------+
Expand Down
4 changes: 2 additions & 2 deletions doc/TokenStream/index.rst
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,7 @@ comments.
.. code-block:: Python
@export
class SourceCodePosition(metaclass=ExtendedType, useSlots=True):
class SourceCodePosition(metaclass=ExtendedType, slots=True):
"""Represent a position (row, column, absolute) in a source code file."""
Row: int #: Row in the source code file (starting at 1)
Expand All @@ -29,7 +29,7 @@ comments.
.. code-block:: Python
@export
class Token(metaclass=ExtendedType, useSlots=True):
class Token(metaclass=ExtendedType, slots=True):
"""Base-class for all token classes."""
_previousToken: Token #: Reference to the previous token (backward pointer)
Expand Down
4 changes: 2 additions & 2 deletions doc/requirements.txt
Original file line number Diff line number Diff line change
Expand Up @@ -2,12 +2,12 @@

# Enforce latest version on ReadTheDocs
sphinx>=5.3,<6.0
pyTooling>=4.0.1, <5.0
pyTooling >= 5.0.0, <6.0

# Sphinx Extenstions
#sphinx.ext.coverage
#sphinxcontrib-actdiag>=0.8.5
sphinxcontrib-mermaid>=0.8.1
sphinxcontrib-mermaid>=0.9.2
#sphinxcontrib-seqdiag>=0.8.5
#sphinxcontrib-textstyle>=0.2.1
#sphinxcontrib-spelling>=2.2.0
Expand Down
4 changes: 2 additions & 2 deletions pyVHDLParser/Blocks/__init__.py
Original file line number Diff line number Diff line change
Expand Up @@ -58,7 +58,7 @@ def Token(self) -> Token:


@export
class TokenToBlockParser(metaclass=ExtendedType, useSlots=True):
class TokenToBlockParser(metaclass=ExtendedType, slots=True):
"""Represents the current state of a token-to-block parser."""

_iterator: Iterator[Token]
Expand Down Expand Up @@ -216,7 +216,7 @@ def __new__(cls, className, baseClasses, classMembers: dict):
if isinstance(memberObject, FunctionType) and (memberName[:5] == "state"):
states.append(memberObject)

block = super().__new__(cls, className, baseClasses, classMembers, useSlots=True)
block = super().__new__(cls, className, baseClasses, classMembers, slots=True)
block.__STATES__ = states

cls.BLOCKS.append(block)
Expand Down
4 changes: 2 additions & 2 deletions pyVHDLParser/Groups/__init__.py
Original file line number Diff line number Diff line change
Expand Up @@ -80,7 +80,7 @@ def __next__(self) -> 'Block':


@export
class BlockToGroupParser(metaclass=ExtendedType, useSlots=True):
class BlockToGroupParser(metaclass=ExtendedType, slots=True):
"""Represents the current state of a block-to-group parser."""

_iterator: Iterator
Expand Down Expand Up @@ -226,7 +226,7 @@ def __new__(cls, className, baseClasses, classMembers: dict):
if isinstance(memberObject, FunctionType) and (memberName[:5] == "state"):
states.append(memberObject)

group = super().__new__(cls, className, baseClasses, classMembers, useSlots=True)
group = super().__new__(cls, className, baseClasses, classMembers, slots=True)
group.__STATES__ = states
return group

Expand Down
6 changes: 3 additions & 3 deletions pyVHDLParser/Token/__init__.py
Original file line number Diff line number Diff line change
Expand Up @@ -72,7 +72,7 @@ def CharacterTranslation(value: str, oneLiner: bool = False) -> str:


@export
class TokenIterator(metaclass=ExtendedType, useSlots=True):
class TokenIterator(metaclass=ExtendedType, slots=True):
"""A token iterator to iterate tokens in ascending/forward order."""

_startToken: 'Token' #: First token for the iteration.
Expand Down Expand Up @@ -170,7 +170,7 @@ def Reset(self) -> None:


@export
class TokenReverseIterator(metaclass=ExtendedType, useSlots=True):
class TokenReverseIterator(metaclass=ExtendedType, slots=True):
"""A token iterator to iterate tokens in descending/backward order."""

_startToken: 'Token' #: First token for the iteration.
Expand Down Expand Up @@ -268,7 +268,7 @@ def Reset(self) -> None:


@export
class Token(metaclass=ExtendedType, useSlots=True):
class Token(metaclass=ExtendedType, slots=True):
"""Base-class for all token classes."""

_previousToken: 'Token' #: Reference to the previous token (backward pointer)
Expand Down
14 changes: 7 additions & 7 deletions pyVHDLParser/__init__.py
Original file line number Diff line number Diff line change
Expand Up @@ -44,7 +44,7 @@


@export
class SourceCodePosition(metaclass=ExtendedType, useSlots=True):
class SourceCodePosition(metaclass=ExtendedType, slots=True):
"""Represent a position (row, column, absolute) in a source code file."""

Row: int #: Row in the source code file
Expand All @@ -66,30 +66,30 @@ def __str__(self) -> str:


@export
class StartOf(metaclass=ExtendedType, useSlots=True):
class StartOf(metaclass=ExtendedType, slots=True, mixin=True):
"""Base-class (mixin) for all StartOf*** classes."""


@export
class StartOfDocument(StartOf):
class StartOfDocument(StartOf, mixin=True):
"""Base-class (mixin) for all StartOf***Document classes."""


@export
class StartOfSnippet(StartOf):
class StartOfSnippet(StartOf, mixin=True):
"""Base-class (mixin) for all StartOf***Snippet classes."""


@export
class EndOf(metaclass=ExtendedType, useSlots=True):
class EndOf(metaclass=ExtendedType, slots=True, mixin=True):
"""Base-class (mixin) for all EndOf*** classes."""


@export
class EndOfDocument(EndOf):
class EndOfDocument(EndOf, mixin=True):
"""Base-class (mixin) for all EndOf***Document classes."""


@export
class EndOfSnippet(EndOf):
class EndOfSnippet(EndOf, mixin=True):
"""Base-class (mixin) for all EndOf***Snippet classes."""
2 changes: 1 addition & 1 deletion pyproject.toml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
[build-system]
requires = [
"pyTooling >= 4.0.1",
"pyTooling >= 5.0.0",
"setuptools >= 62.3.3",
"wheel >= 0.38.1"
]
Expand Down
2 changes: 1 addition & 1 deletion requirements.txt
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
colorama>=0.4.6
py-flags>=1.1.4

pyTooling>=4.0.1, <5.0
pyTooling >= 5.0.0, <6.0
pyAttributes>=2.5.1
pyVHDLModel==0.25.1

0 comments on commit 42ce6d0

Please sign in to comment.