Skip to content

Commit

Permalink
parallelize collections
Browse files Browse the repository at this point in the history
  • Loading branch information
hansemandse committed Aug 16, 2023
1 parent 484c824 commit fd12fbd
Show file tree
Hide file tree
Showing 3 changed files with 153 additions and 158 deletions.
2 changes: 1 addition & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -23,7 +23,7 @@ The tool uses the following terminology:
- _Matrix factor_ referring to the sub-elements of a slice. (Internally, type `Array[Array[Double]]`)
- _Factor_ (or _matrix factor element_) referring to the individual elements of a matrix factor. (Internally, type `Array[Array[Double]]`)

Beware that factors are assumed to be represented by a limited number of bits. Unless the factors are passed to the tool manually, it assumes a slightly asymmetric distribution around zero. Moreover, the current algorithm for picking factors for slice rows suffers from exponential runtime in the number of non-zero elements. This means the overall tool runs rather slowly, even for relatively small matrices. We provide automated test flows for matrix sizes up to $256\times256$, which can execute in a few minutes on a laptop.
Beware that factors are assumed to be represented by a limited number of bits. Unless the factors are passed to the tool manually, it assumes a slightly asymmetric distribution around zero. Moreover, the current algorithm for picking factors for slice rows suffers from exponential runtime in the number of non-zero elements. This means the overall tool runs rather slowly, even for relatively small matrices. We provide automated test flows for matrix sizes up to $32\times32$, which can execute in a few minutes on a laptop.

***
# Requirements
Expand Down
3 changes: 2 additions & 1 deletion build.sbt
Original file line number Diff line number Diff line change
Expand Up @@ -11,7 +11,8 @@ lazy val root = (project in file("."))
name := "CMVM",
libraryDependencies ++= Seq(
"edu.berkeley.cs" %% "chisel3" % chiselVersion,
"edu.berkeley.cs" %% "chiseltest" % "0.5.4" % "test"
"edu.berkeley.cs" %% "chiseltest" % "0.5.4" % "test",
"org.scala-lang.modules" %% "scala-parallel-collections" % "1.0.4"
),
scalacOptions ++= Seq(
"-language:reflectiveCalls",
Expand Down
Loading

0 comments on commit fd12fbd

Please sign in to comment.