Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

bump: revm v7.1.0 #7064

Merged
merged 10 commits into from
Mar 9, 2024
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension


Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
24 changes: 13 additions & 11 deletions Cargo.lock

Some generated files are not rendered by default. Learn more about how customized files appear on GitHub.

8 changes: 4 additions & 4 deletions Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -186,9 +186,9 @@ reth-trie = { path = "crates/trie" }
reth-trie-parallel = { path = "crates/trie-parallel" }

# revm
revm = { version = "6.1.0", features = ["std", "secp256k1"], default-features = false }
revm-primitives = { version = "2.1.0", features = ["std"], default-features = false }
revm-inspectors = { git = "https://github.com/paradigmxyz/evm-inspectors", rev = "f0551cb" }
revm = { version = "7.1.0", features = ["std", "secp256k1"], default-features = false }
revm-primitives = { version = "3.0.0", features = ["std"], default-features = false }
revm-inspectors = { git = "https://github.com/paradigmxyz/evm-inspectors", rev = "aad9b3c" }

# eth
alloy-chains = { version = "0.1", feature = ["serde", "rlp", "arbitrary"] }
Expand Down Expand Up @@ -287,4 +287,4 @@ proptest = "1.4"
proptest-derive = "0.4"
serial_test = "3"
similar-asserts = "1.5.0"
test-fuzz = "5"
test-fuzz = "5"
2 changes: 1 addition & 1 deletion bin/reth/src/sigsegv_handler.rs
Original file line number Diff line number Diff line change
Expand Up @@ -69,7 +69,7 @@ extern "C" fn print_stack_trace(_: libc::c_int) {
let period = period.saturating_add(1); // avoid "what if wrapped?" branches
let Some(offset) = stack.iter().skip(period).zip(stack).position(cycled) else {
// impossible.
return;
return
};

// Count matching trace slices, else we could miscount "biphasic cycles"
Expand Down
4 changes: 2 additions & 2 deletions crates/consensus/beacon/src/engine/hooks/static_file.rs
Original file line number Diff line number Diff line change
Expand Up @@ -87,7 +87,7 @@ impl<DB: Database + 'static> StaticFileHook<DB> {
StaticFileProducerState::Idle(static_file_producer) => {
let Some(mut static_file_producer) = static_file_producer.take() else {
trace!(target: "consensus::engine::hooks::static_file", "StaticFileProducer is already running but the state is idle");
return Ok(None);
return Ok(None)
};

let targets = static_file_producer.get_static_file_targets(HighestStaticFiles {
Expand Down Expand Up @@ -131,7 +131,7 @@ impl<DB: Database + 'static> EngineHook for StaticFileHook<DB> {
) -> Poll<RethResult<EngineHookEvent>> {
let Some(finalized_block_number) = ctx.finalized_block_number else {
trace!(target: "consensus::engine::hooks::static_file", ?ctx, "Finalized block number is not available");
return Poll::Pending;
return Poll::Pending
};

// Try to spawn a static_file_producer
Expand Down
2 changes: 1 addition & 1 deletion crates/net/network/src/peers/manager.rs
Original file line number Diff line number Diff line change
Expand Up @@ -2026,7 +2026,7 @@ mod tests {

let p = peers.peers.get(&peer).unwrap();
if p.is_banned() {
break;
break
}
}

Expand Down
2 changes: 1 addition & 1 deletion crates/payload/ethereum/src/lib.rs
Original file line number Diff line number Diff line change
Expand Up @@ -313,7 +313,7 @@ where
tx_type: tx.tx_type(),
success: result.is_success(),
cumulative_gas_used,
logs: result.logs().into_iter().map(Into::into).collect(),
logs: result.into_logs().into_iter().map(Into::into).collect(),
..Default::default()
}));

Expand Down
4 changes: 2 additions & 2 deletions crates/payload/optimism/src/lib.rs
Original file line number Diff line number Diff line change
Expand Up @@ -397,7 +397,7 @@ mod builder {
tx_type: sequencer_tx.tx_type(),
success: result.is_success(),
cumulative_gas_used,
logs: result.logs().into_iter().map(Into::into).collect(),
logs: result.into_logs().into_iter().map(Into::into).collect(),
deposit_nonce: depositor.map(|account| account.nonce),
// The deposit receipt version was introduced in Canyon to indicate an update to how
// receipt hashes should be computed when set. The state transition process
Expand Down Expand Up @@ -490,7 +490,7 @@ mod builder {
tx_type: tx.tx_type(),
success: result.is_success(),
cumulative_gas_used,
logs: result.logs().into_iter().map(Into::into).collect(),
logs: result.into_logs().into_iter().map(Into::into).collect(),
deposit_nonce: None,
deposit_receipt_version: None,
}));
Expand Down
2 changes: 1 addition & 1 deletion crates/revm/src/processor.rs
Original file line number Diff line number Diff line change
Expand Up @@ -978,7 +978,7 @@ mod tests {

// there is no system contract call so there should be NO STORAGE CHANGES
// this means we'll check the transition state
let state = executor.evm.context.evm.db;
let state = executor.evm.context.evm.inner.db;
let transition_state = state
.transition_state
.clone()
Expand Down
2 changes: 1 addition & 1 deletion crates/rpc/rpc/src/eth/api/pending_block.rs
Original file line number Diff line number Diff line change
Expand Up @@ -183,7 +183,7 @@ impl PendingBlockEnv {
tx_type: tx.tx_type(),
success: result.is_success(),
cumulative_gas_used,
logs: result.logs().into_iter().map(Into::into).collect(),
logs: result.into_logs().into_iter().map(Into::into).collect(),
#[cfg(feature = "optimism")]
deposit_nonce: None,
#[cfg(feature = "optimism")]
Expand Down
2 changes: 1 addition & 1 deletion examples/custom-evm/src/main.rs
Original file line number Diff line number Diff line change
Expand Up @@ -50,7 +50,7 @@ impl MyEvmConfig {
address!("0000000000000000000000000000000000000999"),
Precompile::Env(Self::my_precompile),
);
precompiles
precompiles.into()
});
}

Expand Down
Loading